72nd ECTC Highlights

Note: We will provide links to the presentations as they become available.

Best Papers (will be announced later)

1)      Best Session Paper
  Advanced Fan-Out Packaging Technology for Hybrid Substrate Integration
  Lihong Cao, Teck Chong Lee; Rick Chen; Yung-Shun Chang; Hsingfu Lu; Nicholas Chao; Yen-Liang Huang; Chen-Chao Wang; Chih-Yi Huang — ASE Group

2)      Best Interactive Presentation Paper 
  Novel Zero Side-Etch Process for <1μm Package Redistribution Layers
  
Pratik Nimbalkar, Pragna Bhaskar, Christopher Blancher, Mohanalingam Kathaperumal, Madhavan Swaminathan, and Rao Tummala — Georgia Institute of Technology

3)      Outstanding Session Paper
  Organic Interposer CoWoS-R+ (plus) Technology
  
M. L. Lin, M. S. Liu, H. W. Chen, S. M. Chen, M. C. Yew, C. S. Chen, and Shin-Puu Jeng — Taiwan Semiconductor Manufacturing Company

4)      Outstanding Interactive Presentation Paper 
  Scalable through Mold Interconnection Realization for Advanced Fan Out Wafer Level Packaging Applications
  
Aurélia Plihon, Edouard Déschaseaux, Rémi Franiatte, Jérome Dechamp, Simon Vaudaine, Jennifer Guillaume, Catherine Brunet-Manquat, Stéphane Moreau, and Perceval Coudrain — CEA-LETI

5)      Intel Best Student Session Paper
  Co-Design of Thermal Management with System Architecture and Power Management for 3D Ics.
  
Rishav Roy, Purdue University — School of Mechanical Engineering (G077); co-authors: Shidhartha Das, Benoit Labbe, Rahul Mathur, Supreet Jeloka — ARM,Inc.

Event Presentations

1)      ECTC Luncheon Keynote: Accelerating the Power of Data Infrastructure with Cloud-Optimized Silicon
  Chris Koopmans - Chief Operations Officer, Marvell

2)      2022 ECTC Heterogenous Integration Roadmap (HIR) Workshop
  Chairs: William Chen – ASE, Bill Bottoms – 3MTS, and Ravi Mahajan – Intel
  Heterogeneous Integration Workshop • HIR Shared Vision
  Future Networks & Beyond, Tim Lee
  HIR Selected Topics, Amr Helmy
  Packaging for HPC & Data Center, Kanad Ghose
  Medical Health & Wearables, Mark Poliks

3)      2022 ECTC Special Session: MicroLED Display Technology: High Volume Manufacturing (HVM) Progress and Challenges
  Chairs: Chukwudi Okoro – Corning Inc, USA and Benson Chan – Binghamton University, USA
  John Kymissis - Principal Engineer - Lumiode
  Eugene Chow - Principal Scientist - Palo Alto Research Center (PARC)
  Falcon Liu - Marketing Director - Playnitride
  Chris Bower – CTO - XDisplay
  Sean Garner - Principal Scientist - Corning Inc
  Eric Virey - Senior Market and Technology Analyst - Yole Developpement

4)      2022 ECTC Special Session: How Will IC Substrate Technology Evolve to Enable Next Generation Heterogeneous Integration Schemes for High Performance Applications 
  Chairs: Kuldip Johal – Atotech Group and Bora Baloglu – Amkor Technology
  Rahul Manepalli - Intel Fellow & Director of Substrate TD Module Engineering – Intel Corporation
  Jin Young Khim - (Sr VP R&D) – Amkor Technology
  Markus Leitgeb - R&D Manager - AT&S
  Habib Hichri - Senior Fellow, Global Applications and Business Development - Ajinomoto Fine-Techno Corporation
  Frank Bruening - Global Product Director Mentalizations

5)      2022 ECTC IEEE EPS HIR Session: Selected Topics of IEEE EPS Heterointegration Roadmap  
  Chair: Amr Helmy - University of Toronto
  Seoung Wook Yoon - VP Corporate R&D - Samsung

6)      2022 Young Professionals Networking Panel & Reception
  Chair: Yan Liu - Medtronic and Adeel Bajwa - Kulicke and Soffa

7)      2022 ECTC Special Session: Meeting Next Generation Packaging Challenges: Chiplets to Co-Packaged Optics
  Chair: E. Jan Vardaman, TechSearch International, Inc.
  Presentation
  Ravi Mahajan – Intel Corporation, Meeting Next Generation Packaging Challenges: Chiplets to Co-Packaged Optics
  Sandeep Razdan – Cisco
  Kevin O’Buckley – Marvell Technology
  Raja Swaminathan – AMD, Enabling Moor’s Law’s Next Frontier Through Heterogenous Integration
  Ming Zhang – Synopsys, Electronic-Photonic System Co-Design

8)      2022 EPS President’s Panel Session: State-of-the-Art Heterogeneous Integrated Packaging Program
  Chairs: Kitty Pearsall, EPS President –Boss Precision, Inc. and Christopher Riso, Booz Allen Hamilton
  Darren Crum -Technical Lead of the SOTA Heterogeneous Integrated Packaging (SHIP) program for OUSD(R&E) - Modernization Microelectronics
  John Sotir - SHIP Program Director - Intel Corporation
  Ted Jones - Sr. Product Line Director High Performance Solutions Services - Qorvo Inc.

9)      2022 ECTC/ITherm Diversity & Career Growth Panel & Reception: Solving Diversification Challenges and Workforce Retention Issues
  Chairs: Kim Yess - Brewer Science/ECTC) and Christina Amon - Univ. of Toronto/ITherm
  Bina Hallman - VP System Client Advocacy and Head of D&I System business -IBM
  Antoinette Hamilton - Head of DEI - Lam Research
  Najwa Khazal - General Manager, Service Technology Centres Americas - Edwards
  KT Moore - VP Corporate Marketing - Cadence

10)      2022 IEEE EPS Seminar: Interconnect Technologies for Chiplets
  Chairs: Yasumitsu Orii - Nagase and Shigenori Aoki – Lintec
  Ravi Mahajan – Intel
  Akihiro Horibe - IBM Research Tokyo
  Yu-Hua Chen – Unimicron
  Shin-Puu Jeng – TSMC
  Yu-Po Wang – SPIL
  Hideyuki Nasu - Furukawa Electric

11)      2022 Plenary Session: Digital Transformation – The Cornerstone of Future Semiconductor and Advanced Packaging Growth
  Chair: Rozalia Beica - AT&S
  Carolyn Evans - Chief Economist - Intel
  Doug Yu - VP Pathfinding and System Integration - TSMC
  Jean Christophe Eloy - Yole Developpement
  Mike Rosa – CMO, SVP Strategy - Onto Innovation
  Seoung Wook Yoon - VP Corporate R&D - Samsung

Media Coverage

EEE/EPS:

State of the Art (SOTA) Heterogenous Integrated Packaging (SHIP) Program

Semianalysis:

Packaging Developments From ECTC 2022 – TSMC CoWoS-R+, TSMC 4th Generation SoIC, Intel Collective Die To Wafer Hybrid Bonding, AMD V-Cache, Sony’s Leading 1-Micron Pitch Hybrid Bonding, MediaTek Networking SoC, Co-Packaged Optics, And More

3D InCites:

What I Learned At ECTC 2022

Conversations about What We Learned from ECTC 2022